Book picks similar to
Communication Systems by Simon Haykin


textbooks
technical
electrical-engineering
textbook

Discrete-Event System Simulation


Jerry Banks - 1983
    This text provides a basic treatment of discrete-event simulation, including the proper collection and analysis of data, the use of analytic techniques, verification and validation of models, and designing simulation experiments. It offers an up-to-date treatment of simulation of manufacturing and material handling systems, computer systems, and computer networks. Students and instructors will find a variety of resources at the associated website, www.bcnn.net, including simulation source code for download, additional exercises and solutions, web links and errata.

Electric Machinery Fundamentals


Stephen J. Chapman - 1991
    MATLAB has been incorporated throughtout, both in examples and problems.

Jumping into C++


Alex Allain - 2013
    As a professional C++ developer and former Harvard teaching fellow, I know what you need to know to be a great C++ programmer, and I know how to teach it, one step at a time. I know where people struggle, and why, and how to make it clear. I cover every step of the programming process, including:Getting the tools you need to program and how to use them*Basic language feature like variables, loops and functions*How to go from an idea to code*A clear, understandable explanation of pointers*Strings, file IO, arrays, references*Classes and advanced class design*C++-specific programming patterns*Object oriented programming*Data structures and the standard template library (STL)Key concepts are reinforced with quizzes and over 75 practice problems.

Transport Processes and Separation Process Principles (Includes Unit Operations)


Christie J. Geankoplis - 2003
    Enhancements to this edition include a more thorough coverage of transport processes, plus new or expanded coverage of separation process applications, fluidized beds, non-Newtonian fluids, membrane separation processes and gas-membrane theory, and much more. The book contains 240+ example problems and 550+ homework problems.

Introduction to the Theory of Computation


Michael Sipser - 1996
    Sipser's candid, crystal-clear style allows students at every level to understand and enjoy this field. His innovative "proof idea" sections explain profound concepts in plain English. The new edition incorporates many improvements students and professors have suggested over the years, and offers updated, classroom-tested problem sets at the end of each chapter.

Absolute C++


Walter J. Savitch - 2001
    Concepts and techniques are presented in a clear and concise style, giving readers the opportunity to master key topics.

Digital Design


M. Morris Mano - 1984
    The book teaches the basic tools for the design of digital circuits in a clear, easily accessible manner. New to This Edition: *Nine sections on Verilog Hardware Description Language (HDL) inserted in discrete sections, allowing the material to be covered or skipped as desired. The Verilog HDL presentation is at a suitable level for beginning students who are learning digital circuits for the first time. *Reorganized material on combinational circuits is now covered in a single chapter. *The emphasis in the sequential circuits chapters is now on design with D flip-flops instead of JK and SR flip-flops. *The material on memory and programmable logic is now consolidated in one chapter. *Chapter 8 consists mostly of new material and now covers digital design in the Register Transfer Level (P) FL), preparing the reader for more advanced design projects and further Verilog HDL studies. *A new section in Chapter 11 supplements the laboratory experiments with HDL experiments. These unable the reader to check the circuits designed in the laboratory by means of hardware components and/or by HDL simulation.* Text accompanied by Verilog simulator software-SynaptiCAD's VeriLogger Pro evaluation version, a Verilog simulation environment that combines all of the features of a traditional Verilog simulator with a powerful graphical test vector generator. Fast model testing in VeriLogger Pro allows the reader to perform bottom-up testing of every model in a design. All of the HDL examples in the book can be found on the CD-ROM. *A Companion Website includes resources for instructors and students such as transparency masters of all figures in the book, all HDL code examples from the book, a Verilog tutorial, tutorials on using the VeriLogger Pro software, and more. It can be found at http://www.prenhall.com/mano

Operating System Concepts


Abraham Silberschatz - 1985
    By staying current, remaining relevant, and adapting to emerging course needs, this market-leading text has continued to define the operating systems course. This Seventh Edition not only presents the latest and most relevant systems, it also digs deeper to uncover those fundamental concepts that have remained constant throughout the evolution of today's operation systems. With this strong conceptual foundation in place, students can more easily understand the details related to specific systems. New Adaptations * Increased coverage of user perspective in Chapter 1. * Increased coverage of OS design throughout. * A new chapter on real-time and embedded systems (Chapter 19). * A new chapter on multimedia (Chapter 20). * Additional coverage of security and protection. * Additional coverage of distributed programming. * New exercises at the end of each chapter. * New programming exercises and projects at the end of each chapter. * New student-focused pedagogy and a new two-color design to enhance the learning process.

Modern Control Systems


Richard C. Dorf - 1974
    Written for a senior-level course, this engineering textbook presents the concepts of feedback control system theory as they have been developed in the frequency and time domains, discussing such topics as robust control systems, state variable models, computer control systems, internal model contro

Mechanical Metallurgy


George E. Dieter - 1961
    It covers the entire scope of mechanical metallurgy, from an understanding of the continuum description of stress and strain, through crystalline and defect mechanisms of flow and fracture, and on to a consideration of major mechanical property tests and the basic metalworking process. It has been updated throughout, SI units have been added, and end-of-chapter study questions are included.

Digital Image Processing


Rafael C. Gonzalez - 1977
    Completely self-contained, heavily illustrated, and mathematically accessible, it has a scope of application that is not limited to the solution of specialized problems. Digital Image Fundamentals. Image Enhancement in the Spatial Domain. Image Enhancement in the Frequency Domain. Image Restoration. Color Image Processing. Wavelets and Multiresolution Processing. Image Compression. Morphological Image Processing. Image Segmentation. Representation and Description. Object Recognition.

The Elements of Computing Systems: Building a Modern Computer from First Principles


Noam Nisan - 2005
    The books also provides a companion web site that provides the toold and materials necessary to build the hardware and software.

Electronic Principles


Albert Paul Malvino - 1979
    It's been updated to keep coverage in step with the fast-changing world of electronics. Yet, it retains Malvino's clear writing style, supported throughout by abundant illustrations and examples.

Numerical Analysis


Richard L. Burden - 1978
    Explaining how, why, and when the techniques can be expected to work, the Seventh Edition places an even greater emphasis on building readers' intuition to help them understand why the techniques presented work in general, and why, in some situations, they fail. Applied problems from diverse areas, such as engineering and physical, computer, and biological sciences, are provided so readers can understand how numerical methods are used in real-life situations. The Seventh Edition has been updated and now addresses the evolving use of technology, incorporating it whenever appropriate.

Thermal Physics


Charles Kittel - 1969
    CONGRATULATIONS TO HERBERT KROEMER, 2000 NOBEL LAUREATE FOR PHYSICS For upper-division courses in thermodynamics or statistical mechanics, Kittel and Kroemer offers a modern approach to thermal physics that is based on the idea that all physical systems can be described in terms of their discrete quantum states, rather than drawing on 19th-century classical mechanics concepts.